當前位置:首頁 » 課程大全 » 九路搶答器課程設計

九路搶答器課程設計

發布時間: 2021-03-04 01:23:39

㈠ 九路搶答器怎麼做

搞定了??

㈡ 八路智能搶答器課程設計

我在谷歌上找到的個「簡單易製作的8路單片機搶答器」有模擬原理圖、有源程序,電路比較簡單,你可以去看看。

㈢ 九路搶答器論文

去豆丁網查查

㈣ 如何將電路改為九路搶答器

這個電路需要利用串聯並聯才能做成九路搶答器

㈤ 基於數字電路的九路搶答器設計方案

好像用CD4017設計的互鎖開關,加上一些顯示電路、自動延時電路等,就可以設計出九路、十路的搶答器來吧~~

㈥ 基於數電的九路搶答器設計方案

這個搶答器共有九組搶答輸入,組號由七段筆劃數字顯示,每段內裝6.3V、0.15A燈泡一隻,能顯示0、1、2、3、4、5、6、7、8、9等數字,如圖140所示,圖中S為電源開關,由節目主持人控制。合上S,電路進入初始等待狀態,所有元件均截止。由於C燈是公共的,任意一組按下搶答開關,則穩壓管VD被擊穿導通,使對應的可控硅導通並自鎖,相應的燈發亮顯示相應的數字,指示燈C與二極體和可控硅分壓,一方面使音頻振盪器發聲,另一方面使VD兩端電壓低於穩壓管的擊穿電壓。此後即使其它開關按下VD不再導通。搶答結束,斷開S再接通,電路恢復起始狀態。搶答器中的可控硅可選用電流大於2A的任何型號可控硅,性能盡可能一致。VD的穩壓值在4V左右,反向漏電流必須小。二極體可選用進口小型開關二極體。

㈦ 關於搶答器的EDA課程設計(完整的)

搶答器
通信081 李笑笑 082278
一、簡要說明
在進行智力競賽搶答題比賽時,在一定時間內,各參賽者考慮好答案後都想搶先答題。如果沒有合適的設備,有時難以分清他們的先後,使主持人感到為難。為了使比賽能順利進行,需要有一個能判斷搶答先後的設備,我們將它稱為智力競賽搶答器。
二、設計要求
1.最多可容納15名選手或15個代表隊參加比賽,他們的編號分別為1到15,各用一個搶答按鈕,其編號與參賽者的號碼一一對應。此外,還有一個按鈕給主持人用來清零,主持人清零後才可進行下一次搶答。
2.搶答器具有數據鎖存功能,並將所鎖存的數據用LED數碼管顯示出來。在主持人將搶答器清零後,若有參賽者按搶答按鈕,數碼管立即顯示出最先動作的選手的編號,搶答器對參賽選手動作的先後有很強的分辨能力,即較他們動作的先後只相差幾毫秒,搶答器也能分辨出來。數碼管不顯示後動作選手的編號,只顯示先動作選手的編號,並保持到主持人清零為止。
3.在各搶答按鈕為常態時,主持人可用清零按鈕將數碼管變為零狀態,直至有人使用搶答按鈕為止。搶答時間設為10秒。在10秒後若沒有參賽者按搶答按鈕,搶答按鈕無效。並保持到主持人清零為止。
三、設計提示
1. 輸入輸出信號
輸出顯示的位掃描時鍾信號可以作為鍵盤輸入的檢測掃描信號。10秒定時計數器的時鍾信號可以選2Hz的時鍾。復位信號用來使10秒定時器和鍵盤編碼器清零。15個按鍵輸入信號應進行編碼。A—G數碼管段驅動信號。SEG0,SEGl數碼管位驅動信號。
2.系統功能
按下非同步復位鍵,10秒定時器和鍵盤編碼器清零。放開非同步復位健後,啟動定時器,並允許鍵盤編碼器掃描信號輸入端,如在10秒內發現有輸入信號,將其編碼輸出,同時使定時器停止計時;否則,停止掃描編碼和定時,直到再次按下非同步復位健鍵。把16進制編碼轉換為十進制碼,經解碼後顯示。
3.設計框圖如圖:
四、程序代碼
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

entity answer is
port(
KEY_IN: in std_logic_vector(15 downto 1);
CLEAR: in std_logic;
SCANCLK: in std_logic;
CLK1S: in std_logic;
LED_OUT: out std_logic_vector(6 downto 0);
SCAN_OUT: out std_logic;
SOUND_OUT: out std_logic
);
end answer;

architecture rtl of answer is
signal KEY_CODE: integer range 0 to 15;
signal KEY_CODE_REG: integer range 0 to 15;
signal KEY_EN: std_logic;
signal NUM1: integer range 0 to 9;
signal NUM2: integer range 0 to 9;
signal KEY_EN1,KEY_EN2: std_logic;
signal KEY_IN1,KEY_IN2,KEY_INS: std_logic_vector(15 downto 1);
signal HEX: integer range 0 to 9;
signal TIME_CNT: std_logic_vector(3 downto 0);
begin

process(KEY_EN,KEY_IN,SCANCLK,CLEAR)
begin
if CLEAR = '0' then
KEY_CODE_REG<=0;
elsif SCANCLK'event and SCANCLK = '1' then
if KEY_CODE_REG = 0 then
KEY_CODE_REG<=KEY_CODE;
end if;
end if;
end process;

process(SCANCLK,CLEAR,KEY_IN)
begin
if SCANCLK'event and SCANCLK = '1' then
KEY_IN2 <= KEY_IN1;
KEY_IN1 <= KEY_IN;
end if;
end process;

KEY_INS<=not KEY_IN2 or KEY_IN1;

KEY_CODE<=0 when KEY_EN = '0' else
1 when KEY_INS(1)='0' else
2 when KEY_INS(2)='0' else
3 when KEY_INS(3)='0' else
4 when KEY_INS(4)='0' else
5 when KEY_INS(5)='0' else
6 when KEY_INS(6)='0' else
7 when KEY_INS(7)='0' else
8 when KEY_INS(8)='0' else
9 when KEY_INS(9)='0' else
10 when KEY_INS(10)='0' else
11 when KEY_INS(11)='0' else
12 when KEY_INS(12)='0' else
13 when KEY_INS(13)='0' else
14 when KEY_INS(14)='0' else
15 when KEY_INS(15)='0' else
0 ;
process(CLK1S,CLEAR,KEY_EN)
begin
if CLEAR = '0' then
TIME_CNT <= "0000";
elsif CLK1S'event and CLK1S = '1' then
if KEY_EN='1' then
TIME_CNT<=TIME_CNT + 1;
end if;
end if;
end process;

KEY_EN<='1' when KEY_CODE_REG = 0 and TIME_CNT<=9 else '0';

process(CLK1S,CLEAR,KEY_EN)
begin
if CLEAR = '0' then
KEY_EN1 <= '1';
KEY_EN2 <= '1';
elsif CLK1S'event and CLK1S = '1' then
KEY_EN2 <= KEY_EN1;
KEY_EN1 <= KEY_EN;
end if;
end process;

SOUND_OUT<=SCANCLK when KEY_EN1='0' and KEY_EN2='1' else '0';

with HEX select
LED_OUT<="0000110" when 1,
"1011011" when 2,
"1001111" when 3,
"1100110" when 4,
"1101101" when 5,
"1111101" when 6,
"0000111" when 7,
"1111111" when 8,
"1101111" when 9,
"0111111" when OTHERS;

HEX<= NUM1 when SCANCLK='0' else NUM2;

NUM2<=1 when KEY_CODE_REG>9 ELSE 0;
NUM1<=KEY_CODE_REG when KEY_CODE_REG<=9 ELSE KEY_CODE_REG-10;
SCAN_OUT <= SCANCLK;
end rtl;

㈧ 八路搶答器課程設計,急用~~~!!!~~~~高分

電子技術課程設計

——————八路智力競賽搶答器

學院: 華科學院
專業,班級:電氣工程及其自動化062203H
姓名 段超
學號: 200622050308
指導老師: 黃慶彩

2008年1月

目錄
一 設計任務與要求……………………………………3

二 總體框圖……………………………………………3

三 選擇器件……………………………………………4

四 功能模塊……………………………………………6

五 電路的裝配調試……………………………………9

六 心得體會……………………………………………11

八路智力競賽搶答器

一.設計任務與要求
1.任務和要求
搶大器能容納8名選手,並且給出相應的編號為1、2、3、4、5、6、7、8,為每名選手設置一個按鍵。為了簡化設計,可以利用試驗儀上的邏輯電平開關。(這部分要求由我主要負責)
設置一個給工作人員清零的開關,以便能開始新的一輪的搶答。為了簡化設計,可以利用試驗儀上的邏輯電平開關。
用LED數碼管顯示獲得優先搶答的選手的編號,一直保持到工作人員清零或1分鍾倒記時答題時間結束為止。
用LED數碼管顯示有效搶答後的1分鍾到記時答題時間。
用喇叭發聲知識有效搶答及答題時間的結束。(這部分要求由我主要負責)
秒信號不必考慮時間精度,可利用試驗儀上所提供的連續脈沖(方波)。
二.總體框圖
根據設計任務與要求,我初步將系統分為4大功能模塊:主電路、數據採集電路、控制電路和音響電路。可將主電路分為一個十六進制(實現一分鍾倒記時答題時間)計數、解碼、顯示電路;數據採集電路(獲得優先搶答選手的編號)分為8路搶答開關、八D數據鎖存器、優先編碼器、加1電路;控制電路分為鎖存控制、倒記時控制、音響控制;音響電路分為單穩態觸發器、音振及喇叭電路。以下是我設計的總體框圖:如圖1所示

圖一 總體框圖
三.選擇器件
整個電路的電子器件有:555定時器,74LS192,74LS148,74LS373,74LS00,74LS04以及若干電容和電阻。我詳細介紹一下我所設計的這兩個電路中所用到的重要器件(555定時器和 74LS373):
1.555定時器
555 定時器是一種模擬和數字功能相結合的中規模集成器件。555 定時器成本低,性能可靠,只需要外接幾個電阻、電容,就可以實現多諧振盪器、單穩態觸發器及施密特觸發器等脈沖產生與變換電路。它也常作為定時器廣泛應用於儀器儀表、家用電器、電子測量及自動控制等方面。555 定時器的內部電路框圖和外引腳排列圖分別如下圖2:
圖2 555定時器的內部電路框圖和外引腳排列圖
它內部包括兩個電壓比較器,三個等值串聯電阻,一個 RS 觸發器,一個放電管 T 及功率輸出級。它提供兩個基準電壓VCC /3 和 2VCC /3 555 定時器的功能主要由兩個比較器決定。兩個比較器的輸出電壓控制 RS 觸發器和放電管的狀態。在電源與地之間加上電壓,當 5 腳懸空時,則電壓比較器 A1 的反相輸入端的電壓為 2VCC /3,A2 的同相輸入端的電壓為VCC /3。若觸發輸入端 TR 的電壓小於VCC /3,則比較器 A2 的輸出為 1,可使 RS 觸發器置 1,使輸出端 OUT=1。如果閾值輸入端 TH 的電壓大於 2VCC/3,同時 TR 端的電壓大於VCC /3,則 A1 的輸出為 1,A2 的輸出為 0,可將 RS 觸發器置 0,使輸出為 0 電平。
2.74LS373
74373八D鎖存器為三態輸出的8 D透明鎖存器, 373的輸出端O0-O7可直接與匯流排相連。當三態允許控制端OE為低電平時,O0-O7為正常邏輯狀態,可用來驅動負載或匯流排。當OE為高電平時,O0-O7呈高阻態,即不驅動匯流排,也不為匯流排的負載,但鎖存器內部的邏輯操作不受影響。當鎖存允許端LE為高電平時,O隨數據D而變。當LE為低電平時,O被鎖存在已建立的數據電平。當LE端施密特觸發器的輸入滯後作用,使交流和直流雜訊抗擾度被改善400mV。
373引出端符號:
D0~D7-----數據輸入端OE-----三態允許控制端
LE-----鎖存允許端 O0-O7-----輸出端

74LS373外部管腿圖、真值表、邏輯圖,如下圖3所示:

圖3 74LS373外部管腿圖、真值表、邏輯圖
真值表中:L——低電平; H——高電平; X——不定態; Q0——建立穩態前Q的電平; G——輸入端,與8031ALE連高電平:暢通無阻低電平:關門鎖存。圖中OE——使能端,接地。當G=「1」時,74LS373輸出端1Q—8Q與輸入端1D—8D相同;當G為下降沿時,將輸入數據鎖存。
四.功能模塊
根據設計任務與要求,我初步將系統分為4大功能模塊:主電路、數據採集電路、控制電路和音響電路。
1.主電路由六十進制計數器和解碼、顯示電路兩部分組成。
2.控制電路由鎖存控制和倒計時控制兩部分組成。
以上的這兩大模塊部電路均由我的搭檔負責
以下的數據採集電路和音響電路兩個模塊是由我主要負責,下面我就詳細說明我所設計的這兩模塊:
3.數據採集電路
(1).八路搶答開關
為8位選手提供8個搶答的按鈕,這樣可以在松開按鈕後及時復位,為下次做准備。這部分我利用的是試驗儀上的8個邏輯開關,在接電路圖的時候,只用一個開關模擬。
(2).八D數據鎖存器
採用八D數據鎖存器74LS373,搶答前應使鎖存允許LE=1,此時允許選手搶答,當有選手搶答有效時,要利用控制電路中的LE=0,使數據被鎖存,其它選手就搶答無效了。
(3).優先編碼器
採用優先編碼器74LS148,因為採用了高速控制電路,因此一旦搶答,立即鎖存。
我所設計的控制電路將充分利用74LS148的兩個輸出信號:選通輸出Ys和擴展輸出YEX。
以下是我設計的數據採集電路電路圖如圖4所示:

圖4數據採集電路

4.音響電路
(1).單穩態觸發器
設音響提示時間為2秒左右,可採用一脈寬為2秒的單穩態觸發器實現。
實現單穩態觸發器的方法有很多,可以用與非門或者非門電路實現微分型單穩、利用施密特觸發器實現單穩、集成單穩等。現採用555定時器實現,注意其脈寬的計算公式為tw=1.1RC.若一個負脈沖觸發信號到來,將有效觸發單穩態電路產生一個脈寬為2秒的正脈沖。
(2).音頻振盪器及喇叭電路
利用555定時器實現頻率約1kHz的音頻振盪器,因555定時器有較強的功率輸出能力,可以直接推動喇叭輸出。
當單穩態觸發器進入暫穩態產生一個正脈沖時,控制555定時器開始工作,發出響聲;當單穩態觸發器自動返回穩態後,555定時器清零,不能發聲。
以下是我設計的電路圖如圖5所示:

圖5 音響電路
五 電路的裝配與調試
1.由圖中所示的定時搶答器的總體方框,按時信號的流向分單元裝配,逐級級聯。
2.我接好電路後,開始檢驗我的結果,發現當有選手搶答後,沒有開始倒計時,經過老師的指正我們找到了原因,並立即改正,實現了要求的結果。
3.我將裁判的開關撥至0再撥回1時,發現是總能在任意時間重新搶答。(與設計要求相符)
4.我檢查到,當計數器減到00時,產生了一個負脈沖信號,同時也允許開始新一輪的搶答。(與設計要求相符)
5.最後我檢查的是我所設計的音響電路,當我撥動一個選手開關後,音響電路所對應的綠燈開始發光,並開始倒計時。當計數器減到00時,綠燈再次發光提示。(與設計要求相符)
現給出我所設計的總電路圖如圖6所示:

圖6 總電路圖

我的模擬結果圖如圖7所示:

圖7 分別是倒計時、數據採集、音響電路的模擬結果

六 心得體會
在設計之前,參考了許多相關的資料。在設計中又參考了以前講過的四路搶答器的原理圖,有了基本的思路。
但著手設計時,又出現了許多未預料到的問題,例如元件的選擇:在選擇編碼器時,是採用普通編碼器還是優先編碼器。普通編碼器中,任何時刻只允許輸入一個編碼信號,否則輸出將發生混亂。所以選擇了優先編碼器。但是74LS系列中眾多不同管腳的類型,選擇哪個作編碼器。經過查找,選擇了74LS192,因為想用數字的形式顯示搶答者的編號,所以選擇了數碼顯示管,但數碼顯示管不能直接,數碼顯示管需要由TTL或CMOS集成電路驅動,所以在TTL還是CMOS集成電路上又進行了比較和選擇。最後選擇了數顯解碼器,用它將輸出的二進制代碼譯成相對應的高、低信號,用其作為數碼顯示管的驅動信號,數碼顯示管顯示出相對應的選手編號。在音響電路中,根據設計需要選擇了555定時器。
在一些設計原理上也遇到了許多新問題。發現當電源接通後,無論有無人按按鈕都會使音樂集成電路通電發出聲響,經同學以及老師的指證,發現導通的原因,並及時的改正。
通過這次八路搶答器的設計,我發現了以往學習中的許多不足,也讓我掌握了以往許多掌握的不太牢的知識,感覺學到了很多東西。三周的課程設計,留給我印象最深的是要設計一個成功的電路,必須要有耐性和堅持下去的毅力。在整個電路的安裝調試的過程中,花費時間最多的是各個元件電路的連接,電路的細節設計以及連完線路後的檢查工作上,其中在連接電路是出現問題比較多,在555元件和74LS192元件的連接的調試的時候出現了問題在老師的指導和講解下我門有了更深刻的認識,同時對元件的原理的功能了解的更多更深刻。在這次過程中,我深刻的體會到在設計過程中,需要反復實踐,其過程很可能相當的煩瑣,有時花很長時間檢查電路故障,分析原因,那時心中就有點灰心,有時還特別想放棄,此時更需要靜下心來,更仔細的查找原因。
總之,這次實驗過程中我受益匪淺,在摸索我和我的搭檔實現了課題所要求的結果。培養了我的設計思維,增加了動手操作的能力。更讓我體會到實現電路功能喜悅。

熱點內容
武漢大學學生會輔導員寄語 發布:2021-03-16 21:44:16 瀏覽:612
七年級學生作文輔導學案 發布:2021-03-16 21:42:09 瀏覽:1
不屑弟高考成績 發布:2021-03-16 21:40:59 瀏覽:754
大學畢業證會有成績單 發布:2021-03-16 21:40:07 瀏覽:756
2017信陽學院輔導員招聘名單 發布:2021-03-16 21:40:02 瀏覽:800
查詢重慶2018中考成績查詢 發布:2021-03-16 21:39:58 瀏覽:21
結業考試成績怎麼查詢 發布:2021-03-16 21:28:40 瀏覽:679
14中醫醫師資格筆試考試成績查分 發布:2021-03-16 21:28:39 瀏覽:655
名著賞析課程標准 發布:2021-03-16 21:27:57 瀏覽:881
北京大學商業領袖高端培訓課程 發布:2021-03-16 21:27:41 瀏覽:919