當前位置:首頁 » 課程大全 » 六人搶答器課程設計

六人搶答器課程設計

發布時間: 2020-11-28 09:00:10

1. 求六路搶答器,用multisim做的,急需,萬分感謝!

你去買成品吧,這個自己不好做的,搶答器應該有成品買的

2. 關於搶答器的EDA課程設計(完整的)

搶答器
通信081 李笑笑 082278
一、簡要說明
在進行智力競賽搶答題比賽時,在一定時間內,各參賽者考慮好答案後都想搶先答題。如果沒有合適的設備,有時難以分清他們的先後,使主持人感到為難。為了使比賽能順利進行,需要有一個能判斷搶答先後的設備,我們將它稱為智力競賽搶答器。
二、設計要求
1.最多可容納15名選手或15個代表隊參加比賽,他們的編號分別為1到15,各用一個搶答按鈕,其編號與參賽者的號碼一一對應。此外,還有一個按鈕給主持人用來清零,主持人清零後才可進行下一次搶答。
2.搶答器具有數據鎖存功能,並將所鎖存的數據用LED數碼管顯示出來。在主持人將搶答器清零後,若有參賽者按搶答按鈕,數碼管立即顯示出最先動作的選手的編號,搶答器對參賽選手動作的先後有很強的分辨能力,即較他們動作的先後只相差幾毫秒,搶答器也能分辨出來。數碼管不顯示後動作選手的編號,只顯示先動作選手的編號,並保持到主持人清零為止。
3.在各搶答按鈕為常態時,主持人可用清零按鈕將數碼管變為零狀態,直至有人使用搶答按鈕為止。搶答時間設為10秒。在10秒後若沒有參賽者按搶答按鈕,搶答按鈕無效。並保持到主持人清零為止。
三、設計提示
1. 輸入輸出信號
輸出顯示的位掃描時鍾信號可以作為鍵盤輸入的檢測掃描信號。10秒定時計數器的時鍾信號可以選2Hz的時鍾。復位信號用來使10秒定時器和鍵盤編碼器清零。15個按鍵輸入信號應進行編碼。A—G數碼管段驅動信號。SEG0,SEGl數碼管位驅動信號。
2.系統功能
按下非同步復位鍵,10秒定時器和鍵盤編碼器清零。放開非同步復位健後,啟動定時器,並允許鍵盤編碼器掃描信號輸入端,如在10秒內發現有輸入信號,將其編碼輸出,同時使定時器停止計時;否則,停止掃描編碼和定時,直到再次按下非同步復位健鍵。把16進制編碼轉換為十進制碼,經解碼後顯示。
3.設計框圖如圖:
四、程序代碼
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

entity answer is
port(
KEY_IN: in std_logic_vector(15 downto 1);
CLEAR: in std_logic;
SCANCLK: in std_logic;
CLK1S: in std_logic;
LED_OUT: out std_logic_vector(6 downto 0);
SCAN_OUT: out std_logic;
SOUND_OUT: out std_logic
);
end answer;

architecture rtl of answer is
signal KEY_CODE: integer range 0 to 15;
signal KEY_CODE_REG: integer range 0 to 15;
signal KEY_EN: std_logic;
signal NUM1: integer range 0 to 9;
signal NUM2: integer range 0 to 9;
signal KEY_EN1,KEY_EN2: std_logic;
signal KEY_IN1,KEY_IN2,KEY_INS: std_logic_vector(15 downto 1);
signal HEX: integer range 0 to 9;
signal TIME_CNT: std_logic_vector(3 downto 0);
begin

process(KEY_EN,KEY_IN,SCANCLK,CLEAR)
begin
if CLEAR = '0' then
KEY_CODE_REG<=0;
elsif SCANCLK'event and SCANCLK = '1' then
if KEY_CODE_REG = 0 then
KEY_CODE_REG<=KEY_CODE;
end if;
end if;
end process;

process(SCANCLK,CLEAR,KEY_IN)
begin
if SCANCLK'event and SCANCLK = '1' then
KEY_IN2 <= KEY_IN1;
KEY_IN1 <= KEY_IN;
end if;
end process;

KEY_INS<=not KEY_IN2 or KEY_IN1;

KEY_CODE<=0 when KEY_EN = '0' else
1 when KEY_INS(1)='0' else
2 when KEY_INS(2)='0' else
3 when KEY_INS(3)='0' else
4 when KEY_INS(4)='0' else
5 when KEY_INS(5)='0' else
6 when KEY_INS(6)='0' else
7 when KEY_INS(7)='0' else
8 when KEY_INS(8)='0' else
9 when KEY_INS(9)='0' else
10 when KEY_INS(10)='0' else
11 when KEY_INS(11)='0' else
12 when KEY_INS(12)='0' else
13 when KEY_INS(13)='0' else
14 when KEY_INS(14)='0' else
15 when KEY_INS(15)='0' else
0 ;
process(CLK1S,CLEAR,KEY_EN)
begin
if CLEAR = '0' then
TIME_CNT <= "0000";
elsif CLK1S'event and CLK1S = '1' then
if KEY_EN='1' then
TIME_CNT<=TIME_CNT + 1;
end if;
end if;
end process;

KEY_EN<='1' when KEY_CODE_REG = 0 and TIME_CNT<=9 else '0';

process(CLK1S,CLEAR,KEY_EN)
begin
if CLEAR = '0' then
KEY_EN1 <= '1';
KEY_EN2 <= '1';
elsif CLK1S'event and CLK1S = '1' then
KEY_EN2 <= KEY_EN1;
KEY_EN1 <= KEY_EN;
end if;
end process;

SOUND_OUT<=SCANCLK when KEY_EN1='0' and KEY_EN2='1' else '0';

with HEX select
LED_OUT<="0000110" when 1,
"1011011" when 2,
"1001111" when 3,
"1100110" when 4,
"1101101" when 5,
"1111101" when 6,
"0000111" when 7,
"1111111" when 8,
"1101111" when 9,
"0111111" when OTHERS;

HEX<= NUM1 when SCANCLK='0' else NUM2;

NUM2<=1 when KEY_CODE_REG>9 ELSE 0;
NUM1<=KEY_CODE_REG when KEY_CODE_REG<=9 ELSE KEY_CODE_REG-10;
SCAN_OUT <= SCANCLK;
end rtl;

3. 51單片機6路搶答器課程設計報告,謝謝

建議你去"幸福校園"看看 裡面有些樣子 你可以參考
緒 論
1.1 課題研究的相關背景
搶答器是一種應用非常廣泛的設備,在各種競賽、搶答場合中,它能迅速、客觀地分辨出最先獲得發言權的選手。早期的搶答器只由幾個三極體、可控硅、發光管等組成,能通過發光管的指示辯認出選手號碼。現在大多數搶答器均使用單片機(如MCS-51型)和數字集成電路,並增加了許多新功能,如選手號碼顯示、搶按前或搶按後的計時、選手得分顯示等功能。
本課題利用AT89C51單片機及外圍介面實現的搶答系統,利用單片機的定時器/計數器定時和記數的原理,將軟、硬體有機地結合起來,使得系統能夠正確地進行計時,同時使數碼管能夠正確地顯示時間和選手號碼。用開關做鍵盤輸出,揚聲器發生提示。系統達到要求:在搶答中,只有開始後搶答才有效,如果在開始搶答前搶答為無效;搶答限定時間和回答問題的時間可是在1-99s設定;可以顯示是哪位選手有效搶答和無效搶答,正確按鍵後有音樂提示;搶答時間和回答問題時間倒記時顯示,時間完後系統自動復位;按鍵鎖定,在有效狀態下,按鍵無效非法。

4. 簡易三路搶答器 課程設計 急,能今天晚上出來的 400分

http://tabobo.cn/soft/search.asp?act=Topic&classid=&keyword=%C7%C0%B4%F0%C6%F7&btn=+%CB%D1%CB%F7+
1、 十五路搶答器設計

2、 多路智力競賽搶答器

3、 4人智力競賽搶答器

4、 數顯式八路搶答器

5、 十六位搶答器

6、 6人搶答器

7、 六路數字搶答器

8、 6路智力搶答器

9、 基於CPLD的數字搶答器的設計

10、 八路智能搶答器

11、 八路智力定時搶答器設計

12、 六選手搶答器的設計

13、 數字式競賽搶答器實習報告

5. 跪求課程設計《四人智力搶答器》

相關文檔已發你郵箱了!

四路多路智能搶答器設計

前言

關於這次設計的用於多人競賽搶答的器件,在現實生活中很常見,尤其是在隨著各種智益電視節目的不斷發展,越來越多的競賽搶答器被用在了其中,這種搶答器的好處是不僅能夠鍛煉參賽選手的反應能力,而且能增加節目現場的緊張、活躍氣氛,讓觀眾看得更有情趣。可見搶答器在現實生活中確實很實用,運用前景非常廣泛。
在知識競賽中,特別是做搶答題時,在搶答過程中,為了知道哪一組或哪一位選手先答題,必須要有一個系統來完成這個任務。如果在搶答中,只靠人的視覺是很難判斷出哪組先答題。這次設計就是用幾個觸發器以及三極體巧妙的設計搶答器,使以上問題得以解決,即使兩組的搶答時間相差幾微秒,也可分辨出哪組優先答題。本文主要介紹了搶答器的工作原理及設計,以及它的實際用途。
前言 1
一、實驗目的 2
二、實驗內容和要求 2
三、設計思路 2
四、電路設計 2
1.電路設計指標 3
2.模擬電路組成 4
(1)四路搶答器原理 4
(2)定時器實現 5
(3)計數電路 6
(4)完整電路 7
五、總結與體會 7
六、參考文獻 8

一、實驗目的
1.學習智力競賽搶答器電路的工作原理。
2.學習綜合數字電子電路的設計、實現和調試方法。
二、實驗內容和要求
設計實現一個可容納四組參賽者的數字智力競賽搶答器。
要求:每組設置一個搶答按鈕供搶答者使用;電路具有第一搶答信號的鑒別和鎖存功能。在此基礎上再增加計分電路和犯規電路。
三、設計思路
可將整個系統分為三個主要模塊:搶答鑒別模塊QDJB;搶答計時模塊JSQ;搶答計分模塊JFQ。整個系統的組成框圖如圖5-5-8所示。

圖--1 智力競賽搶答器系統框圖
四、電路設計

圖--2

1.電路設計指標
I.本搶答器最多可提供4名參賽選手使用,編號為1~4號,各隊分別用一個按鈕(S1~S4)控制,並設置一個系統清零和搶答控制開關S5,該開關由主持人控制。
II.搶答器具有數據鎖存功能,並將鎖存數據用發光二極體指示燈顯示出來,同時蜂鳴器發出間歇式聲響,主持人清零後,聲音提示停止。
III.搶答先後的解析度為1ms。
IV.開關S5作為清零及搶答控制開關(有主持人控制),當開關S5被按下時,搶答電路清零,松開後則允許搶答,輸入搶答信號由搶答按鈕的S1~S4實現。
V.有搶答信號輸入時,有數碼管顯示出相應組別的號碼。此時再按其他任何一個搶答開關均無效,指示燈依舊保持第一個開關按下時所對應的狀態不變。
VI.能完成由主持人控制的30秒倒計時,有搶答信號輸入後計時器停止。
VII.能完成定時器復位,啟動,暫停/繼續計數。
VIII.能完成對每個選手搶答次數的記錄,並可復位。
2.模擬電路組成
(1)四路搶答器原理
見圖--3

圖--3
該電路由四個D觸發器、與非門及脈沖觸發電路等組成。其中S1,S2,S3、S4為搶答人按鈕,S5為主持人復位。74LS175為四D觸發器。
無人搶答時,S1~S4均未被按下,1D~4D均為低電平,在555定時器電路產生時鍾脈沖作用下,1Q立即變為高電平,對應指示燈X1發光,同時數碼管顯示為1,將555定時脈沖封鎖,此時送給74ls175的CLK端不再有脈沖信號,所以74LS175輸出不再變化,其他搶答者再按下按鈕也不起作用,從而實現了搶答。若要清除,則由主持人按S5按鈕完成,並為下一次搶答做好准備。
(2)定時器實現
①秒脈沖發生器由555定時器和外接元件R1、R2、C構成多諧振盪器。F=1Hz
定時器電路見圖--4

圖--4
②計數器由兩片74LS192同步十進制計數器構成
利用錯位輸出端BO於下一級DOWN相連
30循環設置為,十位片DCBA=0011 個位片DCBA=0000
③解碼及顯示電路有解碼驅動器74LS48和7段數碼管組成
④控制電路
與非門U20A和U21A組成RS觸發器,實現計數器復位、計數和保持30
電路如圖--5所示

圖--5
(3)計數電路
計數器由74LS192構成
置數輸入端接地,up端接選手輸入信號,當有信號輸入是加一,load端接電源和復位開關用於復位,down加開關控制計數器的減法, 電路如圖--6

圖--7
(4)完整電路
見圖--8

圖--8
五、總結與體會
本系統是一個可供4人搶答的搶答器,當主持人按下禁止搶答的開關時,搶答被禁止.如果在此期間選手將不能搶答;當控制開關斷開時搶答允許,此時若有人搶答,數碼顯示器將顯示搶答者的組數,提示主持人搶答已經完成.
本次設計是本人第一次運用數字電路模擬實際的東西。因而在許多方面都還不熟練,不如說對一些元器件的功能還不完全了解,不能熟練運用,因而不能完全的一次性設計好該電路。不過通過本次的課程設計我學到了學多的知識,學會了Multisim的一些基本使用方法,培養了我們獨立思考問題解決問題的能力,加深了我們對數電、模電知識的理解,鞏固了我們的學習知識,有助於我們今後的學習。
總之,在這次的課程設計過程中,我收獲了很多,即為我的以後學習設計有很大的幫助,也為將來的人生之路做好了一個很好的鋪墊。
六、參考文獻
①《基於Multisim10的電子模擬 實驗與設計》 王連英 北京郵電大學出本社
②《電子技術動手實踐》 崔瑞雪 北京航空航天大學出版社
③《數字電子技術基礎》 余孟嘗 高等教育出版社

6. 六路搶答器課程設計及其報告(急急急) 謝謝各位朋友幫忙!!

我這有八路的 改一下就可以了 很好的!

蘭州理工大學技術工程學院
課程設計任務書

課程名稱: 電子技術課程設計
題 目: 智力競賽搶答器

專業班級:
學生姓名:
學 號:
指導老師:
審 批:

任務書下達日期 2009年 12 月 28日 星期一
設計完成日期 2010年 1 月 8 日 星期五

設計內容與設計要求
一、設計內容:
1.設計一個可容納8組代表隊參賽的智力搶答器,每組設一個搶答按鈕,按鈕的編號與選手的編號相對應。
2. 搶答器具有第一信號鑒別及數據鎖存功能。主持人將設備復位(清零)後,發出搶答指令,當第一組參賽者觸動按鈕時,該組指示燈亮。此後,其他組別觸動按鈕無效。
3. 設計一個用數碼管顯示1~8組中最先搶答組別的電路。
4. 搶答器具有定時30S搶答的功能,當主持人發出搶答指令後開始減計時,並用顯示器顯示時間。當搶答時間到,蜂鳴器鳴叫發出報警信號,並封鎖輸入電路,禁止選手超時搶答。
5.設計一個犯規判別電路,並用指示燈顯示。
6.設置記分顯示電路,每組預置100分,答對1次加10分,答錯1次減10分。
7.功能擴展(自選)
二、設計要求:
1.思路清晰,給出整體設計框圖和總電路圖;
2.單元電路設計,給出具體設計思路和電路;
3.寫出設計報告;

主要設計條件
1. 在實驗樓南樓的四樓「綜合實驗室」和「電子實驗室」調試。
2. 提供調試用實驗箱和電路所需元件及晶元。
說明書格式
1. 課程設計封面;
2. 任務書;
3. 說明書目錄;
4. 設計總體思路,基本原理和框圖(總電路圖);
5. 單元電路設計(各單元電路圖);
6. 安裝、調試步驟;
7. 故障分析與電路改進;
8. 總結與體會;
9. 附錄(元器件清單);
10. 參考文獻;
11.課程設計成績評分表

目錄

1 緒論 6
2 設計方案 7
2.1 設計方案和要求 7
2.2 設計思想和原理 8
2.3 單元電路的設計 8
(1)搶答器部分電路設計 8
(2)定時電路設計 9
(3)報警電路設計 11
(4)計分電路設計 11
2.4 總體設計 12
3 EWB模擬 15
4故障分析與電路改進 15
5部分重要原件引腳圖及其功能表 18
6心得體會 20
7附錄 22
參考文獻 22

1 緒論

智力競賽是一種生動活潑的教育方式,而搶答就是智力競賽中非常常見的一種答題方式。搶答能引起參賽者和觀眾的極大興趣,並且能在極短的時間內,使人們迅速增加一些科學知識和生活常識。但是,在這類比賽中,對於誰先誰後搶答,在何時搶答,如何計算答題時間等等問題,若是僅憑主持人的主觀判斷,就很容易出現誤判。所以,我們就需要一種具備自動鎖存,置位,清零等功能智能搶答器來解決這些問題。
在本次課程設計中,將主要設計一個供八人使用的定時搶答器。他要實現以下主要功能:(1)為8位參賽選手各提供一個搶答按鈕,分別編號S0、S1、S2、S3、S4、S5、S6、S7;(2)主持人可以控制系統的清零與搶答開始;(3)搶答器要有數據鎖存與顯示的功能。搶答開始後,若有任何一名選手按動搶答按鈕,則要顯示其編號至系統被主持人清零,並且揚聲器發生提示,同時其他人再按對應按鈕無效;(4)搶答器要有自動定時功能,並且一次搶答時間由主持人任意設定。當主持人啟動「開始」鍵後,定時器自動減計時,並在顯示器上顯示。同時揚聲器上發出短暫聲響;(5)參賽選手只有在設定時間內搶答方為有效搶答。若搶答有效,則定時器停止工作,並且顯示搶答開始時間直到系統被清零;(6)若設定時間內無選手進行搶答(按對應按鈕),則系統短暫報警,並且禁止選手超時搶答,定時器上顯示00數字搶答器由主體電路與擴展電路組成。優先編碼電路、鎖存器、解碼電路將參賽隊的輸入信號在顯示器上輸出;用控制電路和主持人開關啟動報警電路,以上兩部分組成主體電路。通過定時電路和解碼電路將秒脈沖產生的信號在顯示器上輸出實現計時功能,構成擴展電路。
利用本次設計出的電路製造成的定時搶答器,即可輕松實現在8人或8個代表隊之間進行的搶答比賽中進行控制,使得這一活動更加趣味、公平。

2 設計方案
2.1 設計方案和要求
1.給定的主要器件:74ls148 74ls138 74ls192 555 發光二極體 顯示器
2功能要求:設計一個智力競賽搶答球,可同時共8個選手參加比賽,並具有定時搶答功能。具體功能要求如下:
基本功能:
(1) 設計一個智力競賽搶答器,可同時供8名選手或8個代表隊參加比賽,他們的選號分別是s0,s1,s2,s3,s4,s5,s6,s7。
(2) 給節目主持人設置一個控制開關,用來控制系統的清零(編號顯示數碼管滅燈)和搶答器的開始。
(3) 搶答器具有數據鎖存和顯示功能。搶答開始後,若有選手按動搶答按鈕,編號立即鎖存,並在led數碼管上顯示選手的編號,同時揚聲器給出音響提示。此外,要封鎖輸入電路,禁止其他選手搶答。優先搶答選手的編號一直保持到主持人將系統清零為止
(4) 搶答器具有定時30S搶答的功能,當主持人發出搶答指令後開始減計時,並用顯示器顯示時間。當搶答時間到,蜂鳴器鳴叫發出報警信號,並封鎖輸入電路,禁止選手超時搶答。
(5) 設計一個犯規判別電路,並用指示燈顯示。
(6) 設置記分顯示電路,每組預置100分,答對1次加10分,答錯1次減10分。
(7) 功能擴展(自選)
2.2設計思想和原理
多路智力搶答器的組成框

該設計搶答器的電路主要是由搶答電路,觸發電路,觸發鎖存電路,七段顯示解碼器幾部分構成。
工作原理:通電後,主持人將開關撥到「清零狀態,搶答器處於禁止狀態,編號顯示器滅燈,定時器顯示設定時間:主持人將開關置「開始」狀態,宣布「開始」搶答器工作。定時器倒計時,揚聲器給出聲響提示。當定時時間到,卻沒有選手搶答時,系統報警,並封鎖輸入電路,禁止選手超時搶答。選手在定時時間內搶答時,搶答器完成:優先判斷,編號鎖存,編號顯示,揚聲器提示。當一輪搶答之後,定時器停止,禁止二次搶答,定時器顯示剩餘時間。如果再次搶答必須由主持人再次操作「清除」和「開始:狀態開關
2.3單元電路的設計
(1)搶答器電路的設計
該部分主要完成兩個功能:一是分辨選手按鍵的先後,並鎖存優先搶答者的編號,同時解碼顯示電路顯示編號;二是禁止其他選手按鍵操作無效。選用優先編碼器74ls148和RS鎖存器可以完成上述功能,所組成的電路圖如下所示。這個電路的工作原理過程:當主持人控制開關s置於「清零」
端時,RS觸發器的R非端均為0,4個觸發器輸出(Q4--Q1)全部置0,使74ls148的BI的非=0,顯示器燈滅:74ls148的選通輸入端ST的非=0,使之處於工作狀態,此時鎖存電路不工作。當主持人把開關S置於「開始」時,優先編碼器和鎖存電路同時處於工作狀態,即搶答器處於等待工作狀態,等待輸入端的信號,當有選手將鍵按下時(比如按下s5),74ls148的輸出Y2Y1Y0的非=010,YEX的非=0,經RS鎖存後,CTR=1,BI的非=1,經74ls148解碼後,顯示器顯示為「5」。此外,CRT=1,使74ls148的ST的非為高電平,封鎖其他按鍵的輸入。如果再次搶答需有主持人將S開關重新「清除」,電路復位。

(2)定時電路的設計
節目主持人可根據搶答題的難以程度,來設定某一次搶答的時間,通過
置時間電路對計數器進行預置,計數器的時鍾脈沖由秒脈沖電路提供。可預置時間的電路選用可由兩片十進制同步加減計數器74Ls192、解碼器7448、氣短數碼顯示管來進行設計。其中,兩塊74LS192實現減法計數,通過解碼電路74LS48顯示到數碼管上,其時鍾信號由時鍾產生電路提供。74192的預置數控制端實現預置數,由節目主持人根據搶答題的難易程度,設定一次搶答的時間,通過預置時間電路對計數器進行預置,計數器的時鍾脈沖由秒脈沖電路提供。按鍵彈起後,計數器開始減法計數工作,並將時間顯示在共陰極七段數碼顯示管上,當有人搶答時,停止計數並顯示此時的倒計時時間;如果沒有人搶答,且倒計時時間到時, 輸出低電平到時序控制電路,控制報警電路報警,同時以後選手搶答無效。
74LS192是同步十進制可逆計數器,具備雙時鍾輸入,同時具備清零和置位功能。其管腳圖如圖所示:

(3)報警電路的設計
這部分電路我們是由555構成多諧振盪器,振盪頻率fo=1.43/【(R1+2R)C】,其輸出信號經三極體推動揚聲器。PR為控制信號,當PR為諧振盪器工作;而當PR為低電平時,電路停振。

2.4 總體電路設計和電路圖
經過以上分析,我們將各部分電路連接,並加以適當控制,即得到了八位定時搶答器的總體電路圖。如圖11所示:
其工作原理是:
八位選手編號分別為0、1、2、3、4、5、6、7,對應按鈕分別為S0、S1、S2、
S3、S4、S5、S6、S7;
首先主持人根據題目的難易程度設置搶答時間,此設定可以通過調節輸入兩片74LS192的D、C、B、A四個管腳的高低電平來進行(例如要設定時間為60s,就將十位的192的D、C、B、A分別置位為0、1、1、0,而將各位的192的D、C、B、A都置於0)。當主持人宣讀完題目說「開始」並將開關置於「開始」位置後,輸出為高電平,此高電平分為三路:一路輸出到集成單穩態觸發器74LS121的輸入端,使其產生單個周期為0.5S的脈沖,驅動報警電路發出聲響,即實現了發聲提示的功能;另一路輸出到74LS192的LD端,使其處於高電平而開始減 計數;還有一路輸出到鎖存器的C端;
若沒有選手安東按鈕,則74LS373輸出全為高電平,74LS148也輸出高電平,E0端輸出低電平至7448的滅燈輸入RI/RBO端,使得信號經7448到顯示器 上時無顯示;
當任意一路(設1)搶答器按下按鈕時,八D鎖存器74LS373工作,與輸入端相對應的輸出端(1)輸出高電平,則鎖存器輸出的八位電平經8~3八位優先編碼器74LS148編碼輸出的A0~A2成為與輸入信號相對應的三位二進制碼,而74LS148的管腳15(E0)的輸出電平由低變高,輸出到七段解碼顯示器74LS48的二進制碼經其解碼後輸出到七段共陰數碼管上,則顯示器上顯示對應的編號(1)。此時,7448的RI/RBO端輸出高電平,開關出也輸出高電平,二者經過與非門輸出低電平,經過與門還是低電平輸出到鎖存器373的C端,起到所存功能,其他選手若再按動對應按鈕也無對應輸出,,即實現了搶答功能;
同時,由於74LS148的E0段輸出高電平輸出到集成單穩態觸發器74LS121的輸入端,使其產生單個周期為0.5S的脈沖,驅動報警電路發出聲響,即實現了發聲提示的功能;
同時,74LS148的GS端輸出電平由高變低,與秒脈沖發生器產生的秒脈沖相與後輸出為0,使得無脈沖抵達計數器192的Down端。計數器停止工作,保持原來顯示不變,即實現了暫停減計數使其記錄搶答時間的功能;
當選手回答完問題後,主持人將開關置於「清零」的位置,輸出低電平,也是分為三路:一路與74148的E0端(高)與非後變為高電平輸出到373的使能端C,使得鎖存器不再鎖存數據,此時,搶答部分顯示器滅燈無顯示,實現了清零;
另一路低電平輸出到計數器192的LD端,而CR端也是低電平,所以使得對應顯示器輸出預置的數據;
若在定時部分計數器倒計時到00還無選手按動按鈕的話,兩片74LS192的借位輸出端都輸出高電平,二者相與後輸出高電平到單穩態觸發器74121的B埠,使其產生周期為0.5s的脈沖刺激報警電路發聲提示

計分電路圖

3 EWB模擬
按照總體電路圖在模擬軟體EWB上一一選擇晶元並進行連接,然後啟動開關觀察。

當模擬結果和預期一樣,則證明模擬成功。設計的電路是正確的。

4故障分析與電路改進
1. 顯示器上不顯示數字,我們從後級往前級進行測試,首先用1.5~2V的電壓作用各個筆段,看對應各筆段是否亮,判斷是否完好。若完好則繼續檢測74ls148晶元是否完好。在74ls148的A、B、C、D四個輸入端隨意輸入一組二進制數碼(用高低電平表示1和0,此處注意要用到8V以上的電源電壓),看是否能顯示數字。無顯示的故障一般問題出在這兩個環節。
2. 若顯示器上顯示的是不符合要求的數字,在設計原理正確的前提下,首先通過測試判斷74ls148的輸出a~g與LED管的a~g筆段是否連接有錯。其方法是74ls148的輸出a~g分別按規律輸入高低電平,觀察LED管是否顯示相應的數字。如果這個環節正常,則問題在二極體編碼電路,再逐一進行檢查。
3. 如果不能鎖存,或是鎖存不了1和7,則問題在鎖存電路,應該從原理上進行分析。鎖存電路的設計原理是:啟用CD4511的鎖存功能端LE,高電平有效,即輸入高電平時執行鎖存功能。鎖存器應能鎖定第一個搶答信號,並拒絕後面搶答信號的干擾。如何設計呢,我們對0~9十個數字的顯示筆段進行分析,只有0數字的d筆段亮與g筆段滅,其它數字至少有一點不成立。由此可以區分0與其它數字。我們將LED管的a筆段與g筆段的輸入信號反饋到鎖存電路,通過鎖存電路控制鎖存端LE輸入為0或1(鎖存與否)。當LED顯示器顯示為0時,LE=0,CD4511解碼晶元不鎖存;當LED顯示器顯示其它數字時, LE=1,晶元鎖存。這樣只要顯示器上顯示為0,74ls148解碼晶元才不鎖定,顯示其它數字均鎖存。所以只要有選手按了按鍵,顯示器上一定是顯示1~8的數字,LE=1晶元鎖存,之後任何其他選手再按下按鍵均不起作用。例如SB1鍵先按下,顯示器上顯示1,LE=1晶元鎖存,其他選手再按SB2~SB8,顯示器上仍顯示1,SB1按下之後的任一按鍵信號均不顯示。直到主持人按清零鍵SB9,顯示器上又顯示0,LE=0,鎖存功能解除,又開始新一輪的搶答。
若所有的數字都不能鎖存,說明不管LED顯示什麼數字,74ls148管腳的5腳輸入為電平,可能是5腳與地短接或者是鎖存電路的兩個二極體VD13和VD14斷開等故障;若只有1和7兩個數字不顯示則可以分析一下其原因:顯示1和7數字時g段不亮,74ls148的g輸出端為低電平,VD14截止,而b段亮d段不亮本應該三極體VT截止而使VT13導通,產生高電平(鎖存信號)給LE,現在不能鎖存說明VD13截止,推斷是三極體擊穿損壞。
4. 在測試的過程中我們一定要注意,高低電平的測試電壓數值要針對不同的電路而選取不同的數值。比如,針對LED管,高電平只能用1.5~2V,而在CD4511的輸入端高電平要用到8V以上的電源電壓。選高了,會燒管子;選低了,會看不到效果,甚至產生誤判斷。
5. 判斷PNP型和MPN型晶體管:用萬用表的R×1k(或者R×100)檔。用黑表筆接晶體管的某一個管腳,用紅表筆分別接其它兩腳。如果表 針指示的兩個阻值都很大,那麼黑表筆接晶體管的某一個管腳,用紅表筆接其它兩腳。如果表針指示的兩個阻值都很大,那麼黑表筆所 接的那一個管腳是PNP型的基極,如果表針指示的兩個阻值都很小,那麼黑表筆所接的那個一個管腳是NPN型的基極;如果表針指示的阻 值一個很大,一個很小,那麼黑表筆所接的那一個管腳不是基極。這就要另換一個管腳來試。以上方法,不但可以判斷基極,而且可以 判斷是PNP型還是NPN型晶體管。
判斷基極後就可以進一步判斷集電極和發射極。先假定一個管腳是集電極,另一個管腳是發射極。然後反過來,把原先假定的管腳對調一下,再估測β值,其中,β值大的那次的假定是對的。這樣就把集電極個發射極也判

5部分重要原件引腳圖及其功能表
(1)74ls148

管腳圖

(2)74ls192

管腳圖

功能表

(3)555

管腳圖

6 總結與體會
轉眼間兩周數字電子課程設計轉眼就結束了,通過這次課程設計,我學會了許多課本上學不到的東西,同時也加強了我的動手、思考和解決問題的能力,受益匪淺。
通過楊老師的講課,楊老師從整體上給我們說明了設計的大體思路,每一步該實現怎麼樣的功能,怎麼實現該功能。而我們的任務是通過這次楊老師的講課去找資料了解各晶元的功能,並通過晶元實現其功能。接下來的任務就去找資料,設計電路圖,並且模擬。
為了弄懂74LS192晶元的功能,我從圖書館里借來了好幾本書,同時也在網上找了資料再到邏輯功能,經過一番努力終於解決啦,還有其它的晶元的功能也要慢慢的去琢磨。而在課程設計過程中,我覺得是對課本知識的鞏固和加強,由於課本上的知識太多,同時平時課間又沒有好好的運用額理解個個元件的功能,而且考試的內容有限,所以在這次課程設計過程中,我們了解很多元件的功能,對其在電路中的使用有更多的認識。
從前的學習過程過於浮淺,只是流於表面的理解,而現在要做課程設計,就不得不要求我們對所用到的知識有更深層次的理解。因為課程設計的內容比及書本中的理論知識而言,更接近於現實生活,而理論到實踐的轉化往往是一個艱難的過程,它猶如一隻攔路虎,橫更在我們的面前。但是我們毫不畏懼,因為我們相信我們能行。
前幾天的主要任務是設計和模擬出主體電路。雖然在設計中會遇到這樣那樣的問題,有時認為是正確的,而在模擬中卻出現了這樣那樣的問題。比如說在設計好的主電路圖要實現南北各燈泡的狀態,電路圖我認為是對的,而在模擬的是後去出現了問題,就是出現了一個出狀態,其它的都是正確的,經過了反復的檢查沒什麼問題,後來問楊老師,其實沒有問題,在實際中就不會出現了這種問題啦,所以有不懂的還是要問老師,那樣還節省很多的時間。
電路圖接好了,下面就是接線啦,這可是一個比較麻煩的事。首先要測試個晶元是否有問題,電路板有沒有問題,以及導線是不是斷了。這一系列的工作都是細心的事,容不的半點馬虎。在接線的時候要細心和耐心、恆心,這樣才能做好事情。首先是線的布局上既要美觀又要實用和走線簡單,兼顧到方方面面去考慮是很需要的,否則只是一紙空話。同時接好了一步電路以後,最為重要的是檢查這部分是不是接對了。
通過這次課程設計使我懂得了理論與實際相結合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結合起來,從理論中得出結論,才能真正為社會服務,從而提高自己的實際動手能力和獨立思考的能力。在設計的過程中遇到問題,可以說得是困難重重,這畢竟第一次做的,難免會遇到過各種各樣的問題,同時在設計的過程中發現了自己的不足之處,對以前所學過的知識理解得不夠深刻,掌握得不夠牢固。
此次課程設計,學到了很多課內學不到的東西,比如獨立思考解決問題,出現差錯的隨機應變,和與人合作共同提高,都受益非淺,今後的製作應該更輕松,自己也都能扛的起並高質量的完成項目。
兩周的課程設計已經結束,我將珍藏這段難忘的時光,是她讓我讓我知道,任何一種小小的成績後面,也許就隱藏著許許多多不為人的艱辛。
在此,我要感謝給予我們精心輔導的楊老師,還有其他代理課程設計的老師,也向他們表示衷心的感謝!

7 附錄.元器件清單
74ls148 1個 74ls138 1個 74ls192 2個 555 2個 JK觸發器 2個 麵包板 1塊 調試箱 1個

參 考 文 獻
1.《電子線路設計、實驗、測試》(第二版)
華中理工大學出版社-------謝自美 主編
2.《新型集成電路的應用》---------電子技術基礎課程設計
華中理工大學出版社 梁宗善 主編
3.《電子技術基礎實驗》
高等教育出版社-------------陳大欽 主編
4.《電子技術課程設計指導》
高教出版社-------------------彭介華 主編

熱點內容
武漢大學學生會輔導員寄語 發布:2021-03-16 21:44:16 瀏覽:612
七年級學生作文輔導學案 發布:2021-03-16 21:42:09 瀏覽:1
不屑弟高考成績 發布:2021-03-16 21:40:59 瀏覽:754
大學畢業證會有成績單 發布:2021-03-16 21:40:07 瀏覽:756
2017信陽學院輔導員招聘名單 發布:2021-03-16 21:40:02 瀏覽:800
查詢重慶2018中考成績查詢 發布:2021-03-16 21:39:58 瀏覽:21
結業考試成績怎麼查詢 發布:2021-03-16 21:28:40 瀏覽:679
14中醫醫師資格筆試考試成績查分 發布:2021-03-16 21:28:39 瀏覽:655
名著賞析課程標准 發布:2021-03-16 21:27:57 瀏覽:881
北京大學商業領袖高端培訓課程 發布:2021-03-16 21:27:41 瀏覽:919